Local Variables panel empty in Debug mode

Local Variable panel is empty on Debug Mode

For first two invoke workflow able to view the variables on the third workflow not able view the local variable. please help

2 Likes

@Mani7
Have a first check on the Variable Scope

What you mean by that @Mani7? Is your variables pane empty? Or you mean the variable is not getting passed to the third workflow?

1 Like

I am not able to view the variables value in local panel while debuging

@Mani7
I agree to @HareeshMR
Give us some more details, maybe also post a screenshot. This helps us to find out what could be the issue

I see this too in the new community version is very annoying when your are debugging the variables in Local get their values but only if the activity is not the last one in the flow or everything just get wiped before you can see them…

1 Like

I will not be to take the screen shot, while debugging not able to view the variable values Local TAB

to be honest there is no need to screen shot cause everyone must be experiencing the same problem… everyone that has been using studio for some time will notice this issue right away…

1 Like

try this please!

WFT was that.!